Как запустить регрессию с помощью makefile

У меня есть tcsh shell. Я хочу скомпилировать один раз, который является VCS, а затем запустить несколько тестовых файлов с помощью SIMV. Ранее для одиночного теста VCS = vcs -sverilog -timescale=1ns/1ps \ +acc +vpi .. и SIMV = ./simv +UVM_VERBOSITY=$(UVM_VERBOSITY) +UVM_TESTNAME=$(TESTNAME) ${vcs_waves_cmd} -l $(TESTNAME).log были определены как константы.

Мне нужно заменить $(TESTNAME) на цикл массивом. Я попытался как показано ниже, переключившись на bash, но в конечном итоге он вызывает другие сбои, такие как make clean не работает.

TESTS = ext_reg_write_read reg_write_read 
regress: $(TESTS) 
  $(VCS)\ 
  for t in $(TESTS); do\
./simv +UVM_VERBOSITY=$(UVM_VERBOSITY) +UVM_TESTNAME=$$t ${vcs_waves_cmd} -l $$t.log;\ 
done

Также я хотел бы добавить команду export shell export SHELL = /bin/csh -f

Мой вопрос похож на следующий - Реализация` make check` или `make test

Я использовал @J. C. Salomon ответьте, чтобы сделать этот код